SOURCES: mc-awk-syntax.patch (NEW), mc-verilog-syntax.patch (NEW) - new syn...

pluto pluto at pld-linux.org
Wed Jan 21 21:45:51 CET 2009


Author: pluto                        Date: Wed Jan 21 20:45:51 2009 GMT
Module: SOURCES                       Tag: HEAD
---- Log message:
- new syntax highlights.

---- Files affected:
SOURCES:
   mc-awk-syntax.patch (NONE -> 1.1)  (NEW), mc-verilog-syntax.patch (NONE -> 1.1)  (NEW)

---- Diffs:

================================================================
Index: SOURCES/mc-awk-syntax.patch
diff -u /dev/null SOURCES/mc-awk-syntax.patch:1.1
--- /dev/null	Wed Jan 21 21:45:52 2009
+++ SOURCES/mc-awk-syntax.patch	Wed Jan 21 21:45:45 2009
@@ -0,0 +1,160 @@
+diff -uNr mc-4.6.2-pre1/syntax.orig/awk.syntax mc-4.6.2-pre1/syntax/awk.syntax
+--- mc-4.6.2-pre1/syntax.orig/awk.syntax	1970-01-01 01:00:00.000000000 +0100
++++ mc-4.6.2-pre1/syntax/awk.syntax	2009-01-21 21:17:57.895509334 +0100
+@@ -0,0 +1,132 @@
++# This is Cooledit syntax-file for
++# awk file.
++# Created by Andres Farfan, <nafraf at linuxmail.org>
++# Feel free to copy & modify this.
++# 09/2004
++
++wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_
++
++# default colors
++context default
++
++#Reserved Keywords 1
++  keyword  whole  AMNOISE	white
++  keyword  whole  BEGIN		white
++  keyword  whole  END		white
++  keyword  whole  break		white
++  keyword  whole  continue	white
++  keyword  whole  do		white
++  keyword  whole  else		white
++  keyword  whole  exit		white
++  keyword  whole  for		white
++  keyword  whole  func		white
++  keyword  whole  function	white
++  keyword  whole  if		white
++  keyword  whole  in		white
++  keyword  whole  next		white
++  keyword  whole  nextfile	white
++  keyword  whole  return	white
++  keyword  whole  while		white
++
++#Reserved Keywords 2
++  keyword  whole  and		yellow
++  keyword  whole  asort		yellow
++  keyword  whole  asorti	yellow
++  keyword  whole  atan2		yellow
++  keyword  whole  bindtextdomain yellow
++  keyword  whole  close		yellow
++  keyword  whole  compl		yellow
++  keyword  whole  cos		yellow
++  keyword  whole  dcgettext	yellow
++  keyword  whole  dcngettext	yellow
++  keyword  whole  delete	yellow
++  keyword  whole  exp		yellow
++  keyword  whole  extension	yellow
++  keyword  whole  fflush	yellow
++  keyword  whole  gensub	yellow
++  keyword  whole  getline	yellow
++  keyword  whole  gsub		yellow
++  keyword  whole  index		yellow
++  keyword  whole  int		yellow
++  keyword  whole  length	yellow
++  keyword  whole  log		yellow
++  keyword  whole  lshift	yellow
++  keyword  whole  match		yellow
++  keyword  whole  mktime	yellow
++  keyword  whole  or		yellow
++  keyword  whole  print		yellow
++  keyword  whole  printf	yellow
++  keyword  whole  rand		yellow
++  keyword  whole  rshift	yellow
++  keyword  whole  sin		yellow
++  keyword  whole  split		yellow
++  keyword  whole  sprintf	yellow
++  keyword  whole  sqrt		yellow
++  keyword  whole  srand		yellow
++  keyword  whole  strftime	yellow
++  keyword  whole  strtonum	yellow
++  keyword  whole  sub		yellow
++  keyword  whole  substr	yellow
++  keyword  whole  system	yellow
++  keyword  whole  systime	yellow
++  keyword  whole  tolower	yellow
++  keyword  whole  toupper	yellow
++  keyword  whole  xor		yellow
++
++#Reserved Keywords 3
++  keyword  whole  ARGC		yellow
++  keyword  whole  ARGIND	yellow
++  keyword  whole  ARGV		yellow
++  keyword  whole  BINMODE	yellow
++  keyword  whole  CONVFMT	yellow
++  keyword  whole  ENVIRON	yellow
++  keyword  whole  ERRNO		yellow
++  keyword  whole  FIELDWIDTHS	yellow
++  keyword  whole  FILENAME	yellow
++  keyword  whole  FNR		yellow
++  keyword  whole  FS		yellow
++  keyword  whole  IGNORECASE	yellow
++  keyword  whole  LINT		yellow
++  keyword  whole  NF		yellow
++  keyword  whole  NR		yellow
++  keyword  whole  OFMT		yellow
++  keyword  whole  OFS		yellow
++  keyword  whole  ORS		yellow
++  keyword  whole  PROCINFO	yellow
++  keyword  whole  RLENGTH	yellow
++  keyword  whole  RS		yellow
++  keyword  whole  RSTART	yellow
++  keyword  whole  RT		yellow
++  keyword  whole  SUBSEP	yellow
++  keyword  whole  TEXTDOMAIN	yellow
++
++
++    keyword > yellow
++    keyword < yellow
++    keyword \+ yellow
++    keyword - yellow
++    keyword \* yellow
++    keyword / yellow
++    keyword % yellow
++    keyword = yellow
++    keyword != yellow
++    keyword == yellow
++    keyword { brightcyan/
++    keyword } brightcyan/
++    keyword ( brightcyan/
++    keyword ) brightcyan/
++    keyword [ brightcyan/
++    keyword ] brightcyan/
++    keyword , brightcyan/
++    keyword : brightcyan/
++    keyword ? brightcyan/
++    keyword ; brightmagenta/
++
++
++#comments
++context linestart # \n brown/24
++
++
++#Equations
++context " " green/
++
+diff -uNr mc-4.6.2-pre1/syntax.orig/Makefile.am mc-4.6.2-pre1/syntax/Makefile.am
+--- mc-4.6.2-pre1/syntax.orig/Makefile.am	2009-01-21 21:32:22.000000000 +0100
++++ mc-4.6.2-pre1/syntax/Makefile.am	2009-01-21 21:34:57.887056808 +0100
+@@ -3,6 +3,7 @@
+ 	ada95.syntax		\
+ 	aspx.syntax		\
+ 	assembler.syntax	\
++	awk.syntax		\
+ 	c.syntax		\
+ 	changelog.syntax	\
+ 	cs.syntax		\
+diff -uNr mc-4.6.2-pre1/syntax.orig/Syntax mc-4.6.2-pre1/syntax/Syntax
+--- mc-4.6.2-pre1/syntax.orig/Syntax	2009-01-21 21:32:49.000000000 +0100
++++ mc-4.6.2-pre1/syntax/Syntax	2009-01-21 21:35:43.263821731 +0100
+@@ -135,6 +135,9 @@
+ 
+ file ..\*\\.([Aa][Ss][Mm]|s|S)$ ASM\sProgram
+ include assembler.syntax
++
++file ..\*\\.(awk|AWK)$ AWK\sProgram
++include awk.syntax
+  
+ file ..\*\\.([Pp][Oo][Vv])$ POV\sScript
+ include povray.syntax

================================================================
Index: SOURCES/mc-verilog-syntax.patch
diff -u /dev/null SOURCES/mc-verilog-syntax.patch:1.1
--- /dev/null	Wed Jan 21 21:45:52 2009
+++ SOURCES/mc-verilog-syntax.patch	Wed Jan 21 21:45:45 2009
@@ -0,0 +1,268 @@
+diff -uNr mc-4.6.2-pre1/syntax.orig/Makefile.am mc-4.6.2-pre1/syntax/Makefile.am
+--- mc-4.6.2-pre1/syntax.orig/Makefile.am	2009-01-21 21:25:56.000000000 +0100
++++ mc-4.6.2-pre1/syntax/Makefile.am	2009-01-21 21:32:22.658756469 +0100
+@@ -44,6 +44,7 @@
+ 	syntax.syntax		\
+ 	tcl.syntax		\
+ 	texinfo.syntax		\
++	verilog.syntax		\
+ 	vhdl.syntax		\
+ 	unknown.syntax		\
+ 	xml.syntax
+diff -uNr mc-4.6.2-pre1/syntax.orig/Syntax mc-4.6.2-pre1/syntax/Syntax
+--- mc-4.6.2-pre1/syntax.orig/Syntax	2009-01-21 21:31:50.000000000 +0100
++++ mc-4.6.2-pre1/syntax/Syntax	2009-01-21 21:32:49.072508606 +0100
+@@ -154,6 +154,9 @@
+ file users CVS\suser\slist
+ include cvs-userlist.syntax
+ 
++file ..\*\\.(v|V)$ Verilog\sProgram
++include verilog.syntax
++
+ file ..\*\\.(vhdl|vhd|VHDL|VHD)$ VHDL\sProgram
+ include vhdl.syntax
+ 
+diff -uNr mc-4.6.2-pre1/syntax.orig/verilog.syntax mc-4.6.2-pre1/syntax/verilog.syntax
+--- mc-4.6.2-pre1/syntax.orig/verilog.syntax	1970-01-01 01:00:00.000000000 +0100
++++ mc-4.6.2-pre1/syntax/verilog.syntax	2009-01-21 21:19:15.210084774 +0100
+@@ -0,0 +1,240 @@
++# This is Cooledit syntax-file for verilog
++# Created by Andres Farfan, <nafraf at linuxmail.org>
++# Feel free to copy & modify this.
++# 09/2004
++
++wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_
++
++# default colors
++context default
++
++
++#Preprocessor keywords
++  keyword  whole  `resetall		brightred/
++  keyword  whole  `define		brightred/
++  keyword  whole  `timescale		brightred/	
++  keyword  whole  `ifdef		brightred/
++  keyword  whole  `else			brightred/
++  keyword  whole  `endif		brightred/
++  keyword  whole  `include		brightred/
++  keyword  whole  `signed		brightred/
++  keyword  whole  `unsigned		brightred/
++  keyword  whole  `celldefine		brightred/
++  keyword  whole  `endcelldefine	brightred/
++  keyword  whole  `default_nettype	brightred/
++  keyword  whole  `unconnected_drive	brightred/
++  keyword  whole  `nounconnected_drive	brightred/
++  keyword  whole  `accelerate		brightred/
++  keyword  whole  `noaccelerate		brightred/
++  keyword  whole  `protect		brightred/
++  keyword  whole  `endprotect		brightred/
++  keyword  whole  `protected		brightred/
++  keyword  whole  `endprotected		brightred/
++  keyword  whole  `expand_vectornets	brightred/
++  keyword  whole  `noexpand_vectornets	brightred/
++  keyword  whole  `autoexpand_vectornets brightred/
++  keyword  whole  `remove_gatenames	brightred/
++  keyword  whole  `noremove_gatenames	brightred/
++  keyword  whole  `remove_netnames	brightred/
++  keyword  whole  `noremove_netnames	brightred/
++ 
++
++#Reserved Keywords 1
++  keyword  whole  always	yellow
++  keyword  whole  and	 	yellow
++  keyword  whole  assign	yellow
++  keyword  whole  attribute	yellow
++  keyword  whole  begin	 	yellow
++  keyword  whole  buf	 	yellow
++  keyword  whole  bufif0	yellow
++  keyword  whole  bufif1	yellow
++  keyword  whole  case	 	yellow
++  keyword  whole  casex	 	yellow
++  keyword  whole  casez	 	yellow
++  keyword  whole  cmos	 	yellow
++  keyword  whole  deassign	yellow
++  keyword  whole  default	yellow
++  keyword  whole  defparam	yellow
++  keyword  whole  disable	yellow
++  keyword  whole  edge	 	yellow
++  keyword  whole  else	 	yellow
++  keyword  whole  end	 	yellow
++  keyword  whole  endattribute	yellow
++  keyword  whole  endcase	yellow
++  keyword  whole  endmodule	yellow
++  keyword  whole  endfunction	yellow
++  keyword  whole  endprimitive	yellow
++  keyword  whole  endspecify	yellow
++  keyword  whole  endtable	yellow
++  keyword  whole  endtask	yellow
++  keyword  whole  event	 	yellow
++  keyword  whole  for	 	yellow
++  keyword  whole  force	 	yellow
++  keyword  whole  forever	yellow
++  keyword  whole  fork	 	yellow
++  keyword  whole  function	yellow
++  keyword  whole  highz0	yellow
++  keyword  whole  highz1	yellow
++  keyword  whole  if	 	yellow
++  keyword  whole  initial	yellow
++  keyword  whole  inout	 	yellow
++  keyword  whole  input	 	yellow
++  keyword  whole  integer	yellow
++  keyword  whole  join	 	yellow
++  keyword  whole  large	 	yellow
++  keyword  whole  macromodule	yellow
++  keyword  whole  medium	yellow
++  keyword  whole  module	yellow
++  keyword  whole  nand	 	yellow
++  keyword  whole  negedge	yellow
++  keyword  whole  nmos	 	yellow
++  keyword  whole  nor	 	yellow
++  keyword  whole  not	 	yellow
++  keyword  whole  notif0	yellow
++  keyword  whole  notif1	yellow
++  keyword  whole  or	 	yellow
++  keyword  whole  output	yellow
++  keyword  whole  parameter	yellow
++  keyword  whole  pmos	 	yellow
++  keyword  whole  posedge	yellow
++  keyword  whole  primitive	yellow
++  keyword  whole  pull0	 	yellow
++  keyword  whole  pull1	 	yellow
++  keyword  whole  pullup	yellow
++  keyword  whole  pulldown	yellow
++  keyword  whole  rcmos	 	yellow
++  keyword  whole  reg	 	yellow
++  keyword  whole  release	yellow
++  keyword  whole  repeat	yellow
++  keyword  whole  rnmos	 	yellow
++  keyword  whole  rpmos	 	yellow
++  keyword  whole  rtran	 	yellow
++  keyword  whole  rtranif0	yellow
++  keyword  whole  rtranif1	yellow
++  keyword  whole  scalared	yellow
++  keyword  whole  small	 	yellow
++  keyword  whole  specify	yellow
++  keyword  whole  specparam	yellow
++  keyword  whole  strength	yellow
++  keyword  whole  strong0	yellow
++  keyword  whole  strong1	yellow
++  keyword  whole  supply0	yellow
++  keyword  whole  supply1	yellow
++  keyword  whole  table	 	yellow
++  keyword  whole  task	 	yellow
++  keyword  whole  time	 	yellow
++  keyword  whole  tran	 	yellow
++  keyword  whole  tranif0	yellow
++  keyword  whole  tranif1	yellow
++  keyword  whole  tri	 	yellow
++  keyword  whole  tri0	 	yellow
++  keyword  whole  tri1	 	yellow
++  keyword  whole  triand	yellow
++  keyword  whole  trior	 	yellow
++  keyword  whole  trireg	yellow
++  keyword  whole  use	 	yellow
++  keyword  whole  vectored	yellow
++  keyword  whole  wait	 	yellow
++  keyword  whole  wand	 	yellow
++  keyword  whole  weak0	 	yellow
++  keyword  whole  weak1	 	yellow
++  keyword  whole  while	 	yellow
++  keyword  whole  wire	 	yellow
++  keyword  whole  wor	 	yellow
++  keyword  whole  xnor	 	yellow
++  keyword  whole  xor	 	yellow
++  
++#Reserved Keywords 2
++
++  keyword  whole  $bitstoreal	 yellow
++  keyword  whole  $countdrivers	 yellow
++  keyword  whole  $display	 yellow
++  keyword  whole  $dumpall	 yellow
++  keyword  whole  $dumpfile	 yellow
++  keyword  whole  $dumpflush	 yellow
++  keyword  whole  $dumpoff	 yellow
++  keyword  whole  $dumpon	 yellow
++  keyword  whole  $dumpvars	 yellow
++  keyword  whole  $fclose	 yellow
++  keyword  whole  $fdisplay	 yellow
++  keyword  whole  $finish	 yellow
++  keyword  whole  $fmonitor	 yellow
++  keyword  whole  $fopen	 yellow
++  keyword  whole  $fstrobe	 yellow
++  keyword  whole  $fwrite	 yellow
++  keyword  whole  $getpattern	 yellow
++  keyword  whole  $history	 yellow
++  keyword  whole  $hold	 	 yellow
++  keyword  whole  $incsave	 yellow
++  keyword  whole  $input	 yellow
++  keyword  whole  $itor	 	 yellow
++  keyword  whole  $key	 	 yellow
++  keyword  whole  $list	 	 yellow
++  keyword  whole  $log	 	 yellow
++  keyword  whole  $monitor	 yellow
++  keyword  whole  $monitoroff	 yellow
++  keyword  whole  $monitoron	 yellow
++  keyword  whole  $nokey	 yellow
++  keyword  whole  $nolog	 yellow
++  keyword  whole  $period	 yellow
++  keyword  whole  $printtimescale	 yellow
++  keyword  whole  $readmemb	 yellow
++  keyword  whole  $readmemh	 yellow
++  keyword  whole  $realtime	 yellow
++  keyword  whole  $realtobits	 yellow
++  keyword  whole  $recovery	 yellow
++  keyword  whole  $reset	 yellow
++  keyword  whole  $reset_count	 yellow
++  keyword  whole  $reset_value	 yellow
++  keyword  whole  $restart	 yellow
++  keyword  whole  $rtoi	 	 yellow
++  keyword  whole  $save	 	 yellow
++  keyword  whole  $scale	 yellow
++  keyword  whole  $scope	 yellow
++  keyword  whole  $setup	 yellow
++  keyword  whole  $setuphold	 yellow
++  keyword  whole  $showscopes	 yellow
++  keyword  whole  $showvariables yellow
++  keyword  whole  $showvars	 yellow
++  keyword  whole  $skew	 	 yellow
++  keyword  whole  $sreadmemb	 yellow
++  keyword  whole  $sreadmemh	 yellow
++  keyword  whole  $stime	 yellow
++  keyword  whole  $stop	 	 yellow
++  keyword  whole  $strobe	 yellow
++  keyword  whole  $time	 	 yellow
++  keyword  whole  $timeformat	 yellow
++  keyword  whole  $width	 yellow
++  keyword  whole  $write    	 yellow
++
++
++    keyword > yellow
++    keyword < yellow
++    keyword \+ yellow
++    keyword - yellow
++    keyword \* yellow
++    keyword / yellow
++    keyword % yellow
++    keyword = yellow
++    keyword != yellow
++    keyword == yellow
++    keyword { brightcyan
++    keyword } brightcyan
++    keyword ( brightcyan
++    keyword ) brightcyan
++    keyword [ brightcyan
++    keyword ] brightcyan
++    keyword , brightcyan
++    keyword . brightcyan    
++    keyword : brightcyan
++    keyword ? brightcyan
++    keyword ; brightmagenta
++
++
++context exclusive /\* \*/ brown
++    spellcheck
++
++context exclusive // \n brown
++    spellcheck
++
++context " " green/
================================================================


More information about the pld-cvs-commit mailing list